Разработка принципиальной схемы

 

Этот вид проектных работ относится к техническому проектированию и заключается в выборе элементной базы объекта проектирования (ОП).

Требуется выбрать типономиналы всех «элементов», на которых будет реализовано устройство: микросхем, полупроводниковых приборов; резисторов; конденсаторов; коммутационных элементов (кнопки, ключи, переключатели) и т.д.

В процессе курсового проектирования студенты сами выбирают серии ИМС. Если, например, выбрана серия ТТЛ или совместимая с ней ТТЛШ, то все элементы должны обеспечивать нормальную работу в технических условиях применения микросхем ТТЛ и ТТЛШ: напряжение питания 5В; уровни сигналов логического нуля не более 0.4В; уровни логической единицы не менее 2.4В; коэффициент разветвления по выходу – 10 (номинальный).

Основным элементом микросхем является транзистор (биполярный или полевой). Разница в технологии изготовления транзисторов существенно влияет на характеристики микросхем. Поэтому нередко в описании микросхемы указывают технологию изготовления, чтобы подчеркнуть тем самым общую характеристику свойств и возможностей микросхемы. В современных технологиях объединяют технологии биполярных и полевых транзисторов, чтобы добиться улучшения характеристик микросхем.

Микросхемы на униполярных (полевых) транзисторах — самые экономичные (по потреблению тока):

• МОП-логика (металл-окисел-полупроводник логика) — микросхемы формируются из полевых транзисторов n-МОП или p-МОП типа;

• КМОП-логика (комплементарная МОП-логика) — каждый логический элемент микросхемы состоит из пары взаимодополняющих (комплементарных) полевых транзисторов (n-МОП и p-МОП).

Микросхемы на биполярных транзисторах:

• РТЛ — резисторно-транзисторная логика (устаревшая, заменена на ТТЛ);

• ДТЛ — диодно-транзисторная логика (устаревшая, заменена на ТТЛ);

• ТТЛ — транзисторно-транзисторная логика — микросхемы сделаны из биполярных транзисторов с многоэмиттерными транзисторами на входе;

• ТТЛШ — транзисторно-транзисторная логика с диодами Шотки — усовершенствованная ТТЛ, в которой используются биполярные транзисторы с эффектом Шотки;

• ЭСЛ — эмиттерно-связанная логика — на биполярных транзисторах, режим работы которых подобран так, чтобы они не входили в режим насыщения, — что существенно повышает быстродействие.

• ИИЛ — интегрально-инжекционная логика.

 

КМОП и ТТЛ (ТТЛШ) технологии являются наиболее распространёнными логиками микросхем.

В основу работы цифровых микросхем положена двоичная система счисления. В отличие от хорошо знакомой нам десятичной системы, состоящей из десяти цифр, двоичная система опирается лишь на две цифры: 0 и 1. Цифра 0 соответствует отсутствию напряжения на выходе логического устройства, 1 — наличию напряжения. С помощью нулей и единиц двоичной системы можно записать (закодировать) любое десятичное число.

В результате на интегральных микросхемах стало возможным собирать сложнейшие устройства, изготовить которые на базе типовых логических элементов было бы совершенно невозможно.

Известно два подхода к реализации логики управляющих автоматов (УА) - жесткая и гибкая логика управления. Жесткая логика (схемная реализация логики управления, используемая в данной работе) предусматривает реализацию множества состояний автомата блоком памяти (БП) на запоминающих элементах (триггерах, регистрах), а функции выходов и переходов формируются комбинационной схемой (КС). Алгоритм функционирования УА в этом случае полностью определяется схемой соединения его элементов. Достоинством УА с жесткой логикой управления является максимально высокое быстродействие, определяемое используемой элементной базой. К недостаткам следует отнести большую трудоемкость проектирования, возрастание сложности структуры УА при усложнении алгоритма и отсутствие универсальности. Последнее свойство определяет, что УА проектируется под конкретную задачу и при малейшем изменении алгоритма работы устройство должно быть спроектировано заново. Ввиду этого подобная реализация УА получила также название специализированных УА.

Гибкая логика управления (программная реализация логики управления) предусматривает для реализации отдельных функций наличие хранимых программ, составленных из команд, каждая из которых, в свою очередь, определяет одну или несколько элементарных операций. Принцип программного управления, используемый повторно для реализации отдельных сложных операций как последовательности элементарных микроопераций, получил название принципа микропрограммного управления. За счет увеличения затрат времени в таких УА достигается определенная универсальность, т.к. изменение алгоритма функционирования осуществляется частичной или полной заменой программы (микрокоманды) без изменения структуры автомата. В свою очередь использование стандартной структуры значительно ускоряет и облегчает процесс проектирования УА, причем усложнение алгоритма увеличивает лишь объем программы, практически не влияя на объем оборудования УА.

В процессе разработки функциональных схем цифровых устройств отчетливо выделяются два характерных этапа. На первом этапе, который можно назвать структурным проектированием, заданный неформально алгоритм разработчик представляет в виде последовательности некоторых

операторов, таких, как получение результата, счет, преобразование кода, передача информации. При этом он старается использовать ограниченный набор общепринятых операторов. При использовании этих операторов, как правило, алгоритм можно представить довольно небольшим их числом. Структура алгоритма становится обозримой, понятной, легко читаемой и однозначной. На основе полученной структуры алгоритма формулируются технические требования к схемам, реализующим отдельные операторы. По техническим требованиям в качестве функциональных узлов схемы можно применить либо готовые блоки в интегральном исполнении, либо, если таких микросхем в наличии нет, синтезировать их из более простых элементов. Подобный синтез первоначально производится при помощи алгебры логики, после чего по полученным функциям строится эквивалентная схема. Однако, как правило, синтезированные схемы хуже их аналогов в интегральном исполнении. К этому приводят следующие обстоятельства: большее время задержки, большие габариты, большее потребление энергии. Поэтому для результативного проектирования цифровых устройств разработчик должен уметь: выбрать наиболее приемлемый вариант решения поставленной задачи, работать с алгеброй логики, знать основные цифровые элементы и уметь их применять, по возможности знать наиболее простые и распространенные алгоритмы решения основных задач. Знание наиболее распространенных инженерных приемов в проектировании устройств позволит в будущем сразу воспользоваться готовой схемой, не занимаясь бесполезной работой. Необходимо заметить, что реализация схемы гораздо сложнее, чем простое решение задачи в алгебре логики и наборе полученной функции из логических элементов. В действительности даже, казалось бы, самые простые элементы необходимо включать по определенной схеме, знать назначения всех выводов. Необходимо знать, чем различаются элементы в пределах серии. Понимание внутренней логики микросхемы особенно важно именно для специалистов по автоматике и промышленной электронике, поскольку цифровые микросхемы изначально создавались для выполнения строго определенных функций в составе ЭВМ. В условиях автоматики и радиотехники они часто выполняют функции, не запланированные в свое время их разработчиками, и грамотное использование микросхем в этих случаях прямо зависит от понимания логики их работы. Хорошее знание тонкостей функционирования схем узлов становится жизненно необходимым при поиске неисправностей, когда нужно определить, имеется ли неисправность в данном узле или же на его вход поступают комбинации сигналов, на которые схема узла не рассчитана. Составление тестов, а тем более разработка само проверяемых схем также требуют очень хороших знаний принципов работы узлов.

 

Принципиальная схема разбивается на основе функциональной. Для этого, в соответствии с ФС, выбрать типы ИМС и определить способ их включения с целью реализации требуемой функции.

Если функциональный модуль (элемент) является комбинационным, то необходимо выбрать ИМС логических элементов и соединить их между собой так, чтобы выполнялась функция модуля. Фактически эта задача сводится к функциональной декомпозиции, при которой базисными будут функции, реализуемые конкретной микросхемой. Например, требуется сумматор по модулю 2 на 5 входов. Непосредственно таких ИМС в серии К155 нет, но есть ИМС К155ЛП5, в которой содержится 4 двухвходовых элементов «Исключающее ИЛИ». Соединяя последовательно выходы элементов с входами других, получить такой сумматор просто. Практически же вариантов реализации может оказаться несколько. Необходимо выбрать вариант, требующий минимум ИМС, а если число корпусов ИМС одинаково, то вариант, при котором требуется минимум электрических соединений. (Тогда затраты на изготовление печатных плат будут меньше).

В случае последовательностных модулей прежде всего следует определить режим работы, в котором используется модуль: непрерывный (автоколебательный) либо старт-стопный (с «ожиданием» входных сигналов). Затем выбрать ИМС аналогичного функционального назначения, допускающие возможность требуемых режимов, и обеспечить их соответствующим включением ИМС. То есть определить, на какие выходы надо подавать входные сигналы, какие входы следует соединить с шинами логического нуля (лог.0) или логической единицы (лог.1), какие выходы ИМС будут выходами модуля, а какие выходы следует соединить с входами других ИМС.

При разработке принципиальных схем на ИМС основным условием нормальной работы последних является обеспечение номинальных уровней сигналов по входам и выходам ИМС.

Нарушения этих уровней могут возникнуть из-за недопустимой «нагрузки» по выходам и наличия на входах реактивных сопротивлений (емкостей, индуктивностей), создающих при коммутации «выбросы» напряжения и тока. Поэтому обязательной оценке подлежит нагрузка микросхем. Если нагрузкой являются входы других ИМС той же серии, то количество подключаемых единичных (ток 1.6мА) входов не должно превышать 10 (для ИМС ТТЛ). Иначе необходимо предусмотреть элементы с повышенной нагрузочной способностью (с коэффициентом разветвления 30). Справочники по ИМС обычно содержат таблицы, в которых указываются допустимый коэффициент разветвления по выходу (Краз) и создающие нагрузку входные токи для каждой микросхемы.

Недопустимые уровни сигналов по входам ИМС чаще всего возникают в схемах с времязадающими RC-цепями и контактными коммутационными элементами. Следует помнить, что кнопки, ключи и переключатели создают разрыв электрической цепи, во время которого на входе ИМС ТТЛ будет сигнал лог.1. И есть опасность, что сигнал лог.0 не возникнет либо появится непредвиденный сигнал лог.1.

Времязадающие RC-цепи применяют в генераторах импульсов и схемах временной задержки. Они могут быть дифференцирующими и интегрирующими. Если цепь дифференцирующая, то при разряде конденсатора создается отрицательный импульс, который, попадая на вход ИМС, может вывести из строя. В этом случае необходим расчет для выбора номиналов резистора и конденсатора дифференцирующей цепи, обеспечивающих допустимый уровень лог.0 на входе ИМС. В то же время, при большом сопротивлении резистора и длительном установившемся режиме, сигнала лог.0 можно и не получить. Если цепь интегрирующая, то сигнал лог.0 на вход ИМС поступает через резистор с выхода предыдущей микросхемы. Необходимо рассчитать сопротивление этого резистора на обеспечение уровня лог.0 на входе при требуемой временной задержке.

Исключение составляют специальные «таймерные» ИМС, имеющие выводы («нелогические») для подключения времязадающих элементов. К ним, в частности, относятся одновибраторы К155АГ1 и К155АГЗ. В этих случаях стандартные уровни лог.0 и лог.1 не нарушаются, если сопротивление и емкость времязадающих R и C не превышают некоторых предельных значений. Необходимые сведения для расчета названных параметров в зависимости от величины нормируемых временных интервалов можно найти в специальной литературе.

Таким образом, при разработке принципиальных схем используются микросхемы выбранной серии. Выполнив расчеты по обеспечению нагрузочной способности и номинальных уровней сигналов, уточняют схему, вводя дополнительные элементы и, тем самым, принимая окончательное решение.

Составив полную принципиальную схему, производят поверочные расчеты технических характеристик объекта проектирования: быстродействия и потребляемой мощности. Поверочный расчет выполняется, когда в задании на проектирование предписаны конкретные показатели. Цель такого расчета — доказать, что достигнутые показатели не хуже заданных. Эти расчеты сводятся к поиску аналитических зависимостей соответствующего показателя от параметров ИМС и функциональных узлов на основе ИМС.

В курсовом проекте обязательным является расчет потребляемой мощности Рсумм. В первом приближении Рсумм рассчитывается как сумма максимальных мощностей, потребляемых микросхемами.

, (1)

где Рмакс.i — максимальная потребляемая мощность ИМС i-го типономинала;

ni — количество ИМС i-го номинала;

М — число различных типономиналов ИМС, входящих в устройство.

В качестве Рмакс. следует взять параметр Рпот., указываемый в справочниках для большинства ИМС. Если этот параметр не указан, необходимо воспользоваться формулой

, (2)

где Uпит. — напряжение источника питания микросхем (например, для ИМС серий ТТЛ и ТТЛШ Uпит.— 5В);

Iпот.i — максимально потребляемый ИМС i-го типа ток.

Иногда вместо Iпот. приводятся токи, потребляемые микросхемой в состоянии лог.0 (I0пот.) и лог.1(I1пот.), тогда необходимо взять их среднее значение.

Если в схеме устройства есть дополнительные цепи потребления тока, то к величине, полученной по формуле (1), требуется прибавить рассчитанную по (2) дополнительную мощность.

Следует помнить, что по (1) находится мощность, потребляемая устройством в статическом режиме. Фактически, с увеличением частоты смены состояния цифровой ИМС, его потребляемая мощность возрастает. Например, для микросхем на основе стандартного базового элемента ТТЛ (ТТЛШ) динамическую мощность Рдин. можно рассчитать по формуле:

, (3)

где f — частота переключения состояния МГц;

Рном — номинальная потребляемая в статическом режиме мощность.

Согласно (3) удвоение потребляемой мощности будет при частоте 5МГц. Если же частота меньше 1 МГц, то увеличение мощности незначительно и им можно пренебречь. Тем не менее, по формуле (3) легко уточнить расчет потребляемой мощности для микросхем, работающих в динамическом режиме, т.е. в схемах генераторов и делителей частоты импульсов.

Расчет мощности Рсумм следует оформить таблицей, в которой указать типы ИМС, количество каждого типономинала, потребляемую мощность по типам ИМС и суммарную мощность.

Основу расчетов, нормирующих динамические характеристики объектов проектирования, составляет временные зависимости выходных сигналов от входных. Для устройства цифровой техники к таким характеристикам относят: максимальную скорость приема (передачи) сигналов и скорость «обработки информации»; производительность – как количество некоторых операций, выполняемых в единицу времени; быстродействие; граничную частоты работы и т.д. Выбор «динамического» показателя, характеризующего функционирование объекта, зависит от назначения последнего в составе внешних систем. Например, для устройства преобразования сигналов в системах передачи информации за «показатель» следует взять скорость передачи/приема сигналов либо «быстродействие», определяемое как минимальный интервал времени, необходимый для преобразования входных сигналов в выходные. Для устройств автономных таким показателем является максимальная (граничная) частота формирования выходных сигналов. Причем во всех случаях расчетов динамических показателей принимается, что уровни и форма выходных сигналов соответствует номинальным. Это основные условия, при которых определяются максимальные значения показателей. В свою очередь форма и уровни сигналов будут соблюдены, если длительности сигналов лог.0 и лог.1 превышают соответствующие задержки их распространения через ИМС.

Например, для микросхем ТТЛ указанные длительности должны быть не менее 100нс (= 0.1мкс). Так как в схемах, содержащих несколько «последовательных включений» ИМС, длительность выходного сигнала будет определяться длительностью входного минус суммарные задержки распространения по всем микросхемам, то обеспечить длительность входного сигнала, второй способ — «восстановить» длительности промежуточных сигналов, предусмотрев для этого специальные элементы, например одновибраторы. В любом случае необходимо рассчитать задержки сигнала от входа схемы к ее выходу:

, (4)

где — суммарная задержка в распространении сигнала через n микросхем от входа 1-й к выходу n-й;

— средняя задержка распространения сигнала для n-й микросхемы:

Δtзд= (t1.0 +t0.1 )/2 (5)

В формуле (5): t1.0 - задержка распространения сигнала при переходе от уровня лог.1 к уровню лог.0;

t0.1 — то же , но при переходе от уровня лог.0 к уровню лог.1. Эти параметры указываются в справочниках.

Следует заметить, что для ИМС со многими функционально неравнозначными входами и несколькими выходами задержки распространения по различным входам неодинаковы. Необходимо при разработке принципиальных схем использовать такие цепи передачи взаимодействий, которые создают минимальные задержки.

Для оценки быстродействия следует выбрать цепь наибольшей «длины» и по формуле (4) рассчитать суммарную задержку Δ t∑max.

Очевидно, чтобы схема из нескольких включенных последовательно ИМС отреагировала на входной сигнал, его сигнал, его длительность должна быть

Δt ≥ Δ t∑max+ Δt0, (6)

где Δt0 — минимальная длительность выходного (и входного) сигнала.

Формулу (6) можно непосредственно использовать для оценки быстродействия комбинационных устройств. Согласно (5) задержки по фронту и спаду сигнала, усредняются. Это означает, что минимальные длительности сигналов лог.1 и лог.0 считаются одинаковыми. Поэтому граничную частоту работы (Fгр.раб) схемы из нескольких последовательно соединенных ИМС можно определить по формуле

Fгр.раб= (Δ t∑max+ Δt0)/2. (7)

Смысл выражения (7): если частота входных воздействий будет превышать Fгр.раб, то уровни выходных сигналов не будут соответствовать номинальным и работа схемы нарушится.

Если устройство не комбинационное, то в его функционировании обычно различают «такты» и «циклы» работы. Такт – элементарный интервал времени, в течении которого все сигналы сохраняют неизменное значение. Цикл – интервал времени, отображающий периодичность работы устройства. Как правило, цикл состоит из конечного числа тактов, после которых значения всех выходных сигналов повторяются. В зависимости от назначения проектируемого устройства и выполняемых им функций количество тактов в цикле может быть различным. Начало цикла рассматривается как начало процесса формирования выходных сигналов, а конец цикла как завершение этого процесса, когда результат преобразования «готов». Поэтому быстродействие (или производительность) последовательностных устройств оценивают длительностью цикла. Соответствующее аналитическое выражение для расчета длительности цикла получают из анализа временных диаграмм, построенных на этапе разработки функциональной схемы устройства. При этом могут возникнуть два случая: 1-й, когда длительности всех тактов одинаковы, постоянны и число тактов в этом цикле неизменно; 2-й, когда - либо длительности тактов различны, либо число тактов в различных циклах не одинаково. В первом случае быстродействие устройства определяется однозначно через длительности тактов. Во втором случае его следует определить максимальной длительностью цикла, либо указать минимальную и максимальную длительности циклов, оговорив условия, при которых эти длительности обеспечиваются.

Очевидно, что в любом случае минимальная длительность тактов должна удовлетворять условию (6)!

Расчеты временных соотношений, определяющих динамику работы устройств, следует так же, как и расчет потребляемой мощности, оформить соответствующими формулами и таблицей. В таблице указать типы ИМС, значения t1.0 и t0.1 или других временных параметров, используемых для оценки быстродействия, а также привести результат расчета.

Материал, посвященный разработке принципиальной схемы устройства, необходимо оформить в главу 3, разбив ее на параграфы. Количество параграфов в главе 3 может быть различным и зависит от сложности принципиальной схемы. Отдельным параграфом следует оформить расчеты.

В курсовом проекте приводятся спецификации на элементы принципиальной схемы устройств, которые также относится к текстовым документам и оформляются в соответствии с ГОСТ 2.108-68. Причем в спецификации достаточно указать сведения только о микросхемах. Спецификации элементов помещаются в ПЗ в качестве приложения.


Задание на курсовой проект

 

Спроектировать вычислительное устройство для выполнения заданных функций в соответствии с техническим заданием.

 

Таблица 4.1 – Разрядность операндов

 

Варианты Разрядность операндов
1,4,7,10,13,16,19,22,25,28,31,34,37,40,43,46,49
2,5,8,11,14,17,20,23,26,29,32,35,38,41,44,47,50
3,6,9,12,15,18,21,24,27,30,33,36,39,41,45,48,51

 

Таблица 4.2 – Логическая операция

 

Варианты Логическая операция
1,13,25,37,49
2,14,26,38,50
3,15,27,39,51
4,16,28,40
5,17,29,41
6,18,30,42
7,19,31,43
8,20,32,44
9,21,33,45
10,22,34,46
11,23,35,47
12,24,36,48

 

Таблица 4.3 – Арифметическая операция

 

Варианты Арифметическая операция
1,2,3,13,14,15,16,28,29,30,41,42,43 X+Y
4,5,6,17,18,19,20,31,32,33,44,45,46 X-Y
7,8,9,10,11,12,21,22,23,24,25,26,27,34,35,36, 37,38,39,40,47,48,49,50,51 X*Y

 

Таблица 4.4 – Кодировка ввода чисел с клавиатуры

 

Варианты Ввод чисел с клавиатуры
7,8,9,10,11,12,21,22,23,24,25,26,27,34,35,36, 37,38,39,40,47,48,49,50,51 Шестнадцатеричный
1,2,3,4,5,6,13,14,15,16,17,18,19,20,28,29,30,31, 32,33,41,42,43,44,45,46 Десятичный

 

Таблица 4.5 – Принцип управления устройством

 

Варианты Принцип управления
1,2,3,4,5,6,9,12,13,14,15,16,17,18,19,20,23,26, 28,29,30,31,32,33,35,38,41,42,43,44,45,46,47,50 Аппаратный
7,8,10,11,21,22, 24,25,27,34,36, 37,39,40, 48,49,51 Микропрограммный

 

Таблица 4.6 – Быстродействие устройства

 

Варианты Tзад, нс
15,31,46
14,30,45
13,29,44,51
12,28,43,50
11,27,34,49
10,17,33,48
9,16,32,47
4,8,21,25,37,41
3,7,20,24,36,40
2,6,19,24,35,39
1,5,18,22,26,38,42

 

 

Таблица 4.7 – Мощность потребления устройства

 

Варианты Рпотр, мВт
1,5,18,22,26,38,42
2,6,19,24,35,39
3,7,20,24,36,40
4,8,21,25,37,41
9,16,32,47
10,17,33,48
11,27,34,49
12,28,43,50
13,29,44,51
14,30,45
15,31,46

 

Примечание: Числа представлены в форме с фиксированной запятой.

 

 

Пример индивидуального задания для вариант 11:

 

Разработать цифровое устройство для выполнения логической операции и арифметической операции X*Y над 24-разрядными операндами X и Y. Ввод чисел с клавиатуры выполняется в шестнадцатеричной кодировке (клавиатура имеет кнопки «0», «1», …, «E», «F»). Принцип управления устройством – микропрограммный. Быстродействие устройства определяется исходя из максимально допустимой задержки Tзад < 400 нс. Максимальная мощность потребления устройства Рпотр < 600 мВт.