Способы увеличения числа выходов дешифраторов

Мы рассмотрели одноступенчатый дешифратор (линейный) – он является наиболее быстродействующим, но его реализация при значительной разрядности входного слова затруднена, поскольку требует применения логических элементов с большим числом входов, что сопровождается большой нагрузкой на источники входных сигналов. Обычно одноступенчатыми выполняются дешифраторы на небольшое число входов, определяемое возможностями элементов применяемой серии микросхем. Поэтому зачастую количества выводов дешифратора не хватает для выбора необходимого количества устройств микропроцессорной техники. Используя два дешифратора с разрешающим входом Е, можно реализовать дешифратор с количеством выходов N = 2n+1 (рис. 2.11.3).

Рис. 2.11.3. Дешифратор 3х8 на основе двух дешифраторов 2х4

 

На рис. 2.11.3 приведена схема комбинированного дешифратора 3х8, реализованная на двух полных дешифраторах 2х4. Таким образом можно из 2-х дешифраторов 3х8 создать дешифратор 4х16 и т.д. Разрешающий вход Е используется, как адресный разряд. При Е = 0 работает верхний дешифратор, при Е = 1 работает нижний дешифратор, при этом все выходы верхнего дешифратора равны 0.

Широко используется еще каскадный (пирамидальный) способ построения дешифраторов с большим числом выходов на микросхемах дешифраторов с меньшим числом выходов ( рис.2.11.4) .

Для разрешения работы одного из дешифраторов 3х8 (DC2, DC3, DC4, DC5) на вход Е каждого дешифратора подается разрешающий или запрещающий сигнал от дешифратора DC1 (первый каскад), который управляется адресными разрядами А3, А4.


Рис. 2.11.4. Схема каскадного (пирамидального) включения дешифраторов

Адресные разряды А0, А1, А2 параллельно подаются на дешифраторы 2-го каскада. Общее число адресных разрядов увеличилось на 2 разряда.

Шифраторы. Шифраторы это устройства, предназначенные для преобразования унитарного кода в двоичный. На выходе шифратора появляется многоразрядный двоичный код, соответствующий десятичному номеру входа, на который подан активный логический уровень. Двоичные шифраторы выполняют операцию, обратную операции дешифраторов.

Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа. Если количество входов настолько ве­лико, что в шифраторе используются все возможные ком­бинации сигналов на выходе, то такой шифратор называ­ется полным. Число входов и выходов в полном шифраторе связано соотношением N = 2n, где N — число входов, n — число выходов. Так, для пре­образования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет рав­но 16 (n = 24 = 16), поэтому шифратор 10x4 будет неполным.

Рассмотрим пример построения шифратора для преоб­разования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагает­ся, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход.

Таблица истинности для шифратора приведена в таблице 2.11.3.

Используя данную таблицу, запишем логические выражения для выходных переменных, включая в логическую сумму те входные переменные, ко­торые соответствуют единице соответствующей выходной пере­менной.

Таблица истинности для дешифратора

Таблица 2.11.3.

Входы Выходы
X0 X1 X2 X3 X4 X5 X6 X7 X8 X9 A3 A2 A1 A0

 

Запишем логические уравнения для выходных переменных А0, А1, А2, А3:

А0 = X1 v X3 v X5 v X7 v X9

A1 = X2 v X3 v X6 v X7

A2 = X4 v X5 v X6 v X7

A3 = X8 v X9

Для такого шифратора легко построить схему на логических элементах «ИЛИ» (рис. 2.11.5).

Рис. 2.11.5. Схема неполного шифратора 10х4

 

Методические указания к выполнению работы:

Запишите в отчете, как обычно, название работы, цель работы. Приведите определение дешифратора. Составьте таблицу истинности для дешифратора, имеющего 3 адресных входа. Запишите уравнения для каждого из 8-ми выходов дешифратора. Постройте схему. Соберите схему, реализующую функции дешифратора в Multisim. Исследуйте её работу.

Исследуйте работу микросхемы дешифратора 2х4. Соберите схему дешифратора, приведенную на рис. 2.11.4 используя только дешифраторы 2х4.
Получите временные диаграммы работы схемы. Чтобы показать все входные и выходные сигналы дешифратора используйте 2 анализатора.

Зарисуйте схему и поясните в отчете принцип ее работы. Приведите временные диаграммы. Временные диаграммы надо привести на одной странице, нельзя продолжать связанные по времени графики на другой странице. Все связи между сигналами должны быть наглядными.

Составьте таблицу истинности для полного шифратора 8х3. Запишите логические функции выходных переменных. Постройте и исследуйте схему шифратора. В отчете приведите таблицу истинности, уравнения, построенную по уравнениям схему, временные диаграммы.

Запишите соответствующие каждому пункту выполненной работы выводы.

Вопросы для подготовки к отчету:

1. Дайте определение дешифратора.

2. Дайте определение шифратора.

3. Что понимают под унитарным кодом?

4. Чем отличается полный дешифратор от неполного?

5. Чем отличается полный шифратор от неполного?

6. В чем отличие линейного дешифратора от пирамидального?

7. Больше быстродействие у линейного дешифратора или пирамидального?

8. Больше аппаратных затрат требуется для реализации линейного дешифратора или пирамидального?

9. Для чего применяют в вычислительной технике дешифраторы и шифраторы?

 

12. Лабораторная работа №12

Исследование мультиплексоров и демультиплексоров

Цель работы:Изучить принципы синтеза и работы мультиплексоров и демультиплексоров.

Задание:Произвести синтез схемы мультиплексора, исследовать работу схемы. Исследовать микросхему мультиплексора, построить и исследовать работу пирамидальной схемы. Произвести синтез схемы демультиплексора, исследовать работу схемы. Исследовать совместную работу мультиплексора и демультиплексора.

Теоретическое введение

Мультиплексором называется комбинационное логическое устройство, предназначенное для управляемой передачи данных от нескольких источников информации в один выходной канал. Входы мультиплексора подразделяются на информационные Д0, Д1, …… и управляющие (адресные) А0, А1, …, Аn-1.

Код, подаваемый на адресные входы, определяет, какой из информационных входов в данный момент передается на выход схемы. Поскольку n-разрядный двоичный код может принимать 2n значений, то, если число адресных входов мультиплексора равно n, число его информационных входов должно равняться 2n .

Построим таблицу истинности, отображающую работу мультиплексора с двумя адресными входами на основе определения. Обозначим в таблице A0 и A1 – адресные входы. D0, D1, D2, D3 – входы 4-х потоков данных, при установке адреса соответствующие данные будут передаваться на единственный выход мультиплексора Y (таблица 2.12. 1) .

Таблица имеет следующий вид:

Таблица 2.12. 1

Адрес Данные Выход
А1 А0 D0 D1 D2 D3 Y
D0 D1 D2 D3 D0
D0 D1 D2 D3 D1
D0 D1 D2 D3 D2
D0 D1 D2 D3 D3

 

Запишем уравнение для функции Y:

Y = A1*A0*D0 v A1*A0 D1 v A1 A0*D2 v A1 A0 D3.

Схема, реализующая функцию Y, может быть построена на 2-х инверторах, 4-х трехвходовых элементах «И» и четырехвходовом элементе «ИЛИ» (рис. 12.2.1).

 

Рис. 12.2.1. Схема мультиплексора 4-1

 

Можно для реализации этой же схемы собрать дешифратор, и с его помощью осуществлять переключение входов на выход Y (рис. 2.12.2).

Рис. 2.12.2. Схема мультиплексора и его условное обозначение

 

В тех случаях, когда функциональные возможности ИС мультиплексоров не удовлетворяют разработчиков по числу информационных входов, прибегают к их каскадированию с целью наращивания числа входов до требуемого значения. Наиболее универсальный способ наращивания размерности мультиплексора состоит в построении пирамидальной структуры, состоящей из нескольких мультиплексоров. При этом первый ярус схемы представляет собой столбец, содержащий столько мультиплексоров, сколько необходимо для получения нужного числа информационных входов. Все мультиплексоры этого столбца коммутируются одним и тем же адресным кодом, составленным из соответствующего числа младших разрядов общего адресного кода. Старшие разряды адресного кода используются во втором ярусе, мультиплексор которого обеспечивает поочередную работу мультиплексоров первого яруса на общий выход. Каскадная схема мультиплексора «16-1», построенная на мультиплексорах «4-1», показана на рис. 2.12.3.

Рис. 2.12.3. Каскадная схема мультиплексора 16-1

Типовое применение мультиплексора — это передача информации от нескольких разнесенных в пространстве источников (датчиков) информации на вход одного приемника.

Предположим, что измеряется температура окружающей среды в нескольких помещениях и результаты этих измерений должны быть введены в одно регистрирующее устройство, например ЭВМ. При этом, так как температура изменяется медленно, для получения достаточной точности совсем не обязательно измерять ее постоянно. Достаточно иметь информацию через некоторые фиксированные промежутки времени.

Функцию подключения различных источников информации к одному приемнику по заданной команде и выполняет мультиплексор.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой логической функции от числа аргументов, равного числу адресных входов мультиплексора. Покажем это на примере логической функции, заданной таблицей истинности (табл. 2.12.2).

 

Таблица2.12.2

A2 A1 A0 Y A2 A1 A0 Y

 

Схема, реализующая данную функцию показана на рис. 2.12.4.

Рис. 2.12.4. Реализация комбинационной схемы при помощи мультиплексора

 

Демультиплексор – это комбинационная схема, имеющая один информационный вход (D), n управляющих (адресных) входов (А0, А1, …, Аn-1) и N = 2n выходов (Y0, Y1, …, YN-1). Двоичный код, поступающий на адресные входы, определяет один из N выходов, на который передается значение переменной с информационного входа D. Демультиплексор реализует функцию, обратную функции мультиплексора. Он предназначен для разделения потока данных одного источника информации в несколько выходных каналов.

Таблица функционирования демультиплексора (табл.2.12.2), имеющего 4 информационных выхода (Y0, Y1, Y2, Y3) и n = 2 адресных входа (А0, А1), представлена ниже.

 

Таблица 2.12.2

Инф.вход Адрес Информационные выходы
D A1 A0 Y0 Y1 Y2 Y3
D D
D D
D D
D D

Уравнения, описывающие работу демультиплексора:

 

Y0 = D A1* A0*; Y1 = D A1*A0; Y2 = A1 A0*; Y3 = A1 A0.

 

Схема демультиплексора, построенная по данным уравнениям и его графическое изображение представлены на рис. 2.12.5.

 

 

Рис. 2.12.5. Схема демультиплексора "1-4" и его условное изображение

Функция демультиплексора легко реализуется с помощью дешифратора, если его вход “Разрешение” – Е использовать в качестве информационного входа демультиплексора, а входы 1, 2, 4 … - в качестве адресных входов демультиплексора А0, А1, А2, … Действительно, при активном значении сигнала на входе Е избирается выход, соответствующий коду, поданному на адресные входы. Поэтому интегральные схемы дешифраторов, имеющих разрешающий вход, иногда называют не просто дешифраторами, а дешифраторами-демультиплексорами .

Термином “мультиплексирование” называют процесс передачи данных от нескольких источников по общему каналу. В качестве устройства, осуществляющего на передающей стороне операцию сведения данных в один канал применяют мультиплексор. Подобное устройство способно осуществлять временное разделение сигналов, поступающих от нескольких источников, и передавать их в канал (линию) связи друг за другом в соответствии со сменой кодов на своих адресных входах.

На приемной стороне обычно требуется выполнить обратную операцию – демультиплексирование, т.е. распределение порций данных, поступивших по каналу связи в последовательные моменты времени, по своим приемникам. Эту операцию выполняет демультиплексор. Совместное использование мультиплексора и демультиплексора для передачи данных от 4-х источников к

4-м приемникам по общей линии иллюстрирует рис. 2.12.6.

 

Рис. 2.12.6. Совместное использование мультиплексора и демультиплексора для передачи данных