Глава 3. Триггеры, счетчики, дешифраторы, шифраторы

Дешифраторы и шифраторы

 

Дешифраторы и шифраторы (также, как и элементы И, ИЛИ, НЕ, И-НЕ, ИЛИ-НЕ) являются комбинационными элементами: потенциалы на их выходах зависят от сиюминутного состояния входов, с их изменением меняется и ситуация на выходах; такие элементы не сохраняют предыдущее состояние после смены потенциалов на входах, т.е. не обладают памятью.

Преимущественно дешифраторы используют для выбора того или иного компонента из их множества. Различают дешифраторы полные и неполные. Полные дешифраторы реагируют на все входные коды, неполные — на коды, величина которых не превосходит некоторого заранее установленного значения. Выходы дешифраторов бывают прямые и инверсные.

На выходах шифратора устанавливается код, соответствующий номеру возбужденного входа. Промышленность производит приоритетные и неприоритетные шифраторы. В приоритетных шифраторах входы имеют разный приоритет. Возбужденный вход с большим приоритетом подавляет действие прежде возбужденного и устанавливает на выходах код, соответствующий своему значению.

Классификация дешифраторов и шифраторов, рассмотренных в данной главе, приведена на рис.3.1.

 

Рис.3.1. Классификация дешифраторов и шифраторов

 

Дешифраторы.

Дешифратор (decoder) — это комбинационное устройство, позволяющее распознавать числа, представленные позиционным n-разрядным кодом. Если на входе дешифратора n-разрядный двоичный код, то на его выходе код «1 из N». В кодовой комбинации этого кода только одна позиция занята единицей, а все остальные — нулевые. Например, код «1 из N», содержащий четыре кодовые комбинации, будет представлен следующим образом:

1 0 0 0

0 1 0 0

0 0 1 0

0 0 0 1

Такой код называют унитарным, поэтому дешифратор является преобразователем позиционного двоичного кода в унитарный. Так как возможное количество чисел, закодированных n-разрядным двоичным кодом, равно количеству наборов из n аргументов (N=2n), то дешифратор, имеющий n входов, должен иметь 2n выходов. Такой дешифратор называют полным. Если часть входных наборов не используется, то дешифратор называют неполным, и у него число выходов меньше 2n. Таким образом, в зависимости от входного двоичного кода на выходе дешифратора возбуждается только одна из выходных цепей, по номеру которой можно распознать входное число.

Дешифратор выбирают так, чтобы число его входов соответствовало разрядности поступающих двоичных кодов. Число его выходов равно количеству различных кодов этой разрядности. Каждому цифровому коду на входах дешифратора (рис.3.2,а, б) соответствует лог. 1 (или лог. 0) на соответствующем выходе. Иными словами, каждый входной код адресует соответствующий выход, который при этом возбуждается. Поэтому входы дешифратора часто называют адресными. Стоящие возле входов цифры (1, 2, 4 ...) показывают как соотносятся «веса» разрядов поступающего двоичного числа. Выходы дешифратора оцифрованы десятичными числами. Возбуждается тот выход, номер которого равен «весу» входного кода, разряды которого имеют обозначенные «веса», т.е. дешифратор расшифровывает (дешифрирует) число, записанное в двоичном коде, представляя его лог. 1 (лог. 0) на соответствующем выходе. Так, выход 5 возбуждается при входном коде 101, выход 6 — при входном коде 110 и т. д. Удобно представлять, что выход дешифратора отображает возбудивший его входной код.

Рис.3.2. Дешифратор с инверсным входом V: а) с прямыми выходами;

б) с инверсными выходами

 

Вход V является входом разрешения работы. Если он инверсный (обозначен кружком как на рис.3.2), то для функционирования дешифратора на нем должен быть лог. 0 (достаточно этот вход соединить с общим проводом — землей). Прямой вход V через резистор соединяется с источником питания. Наличие входа разрешения расширяет функциональные возможности микросхемы.

Неполный дешифратор выбирают, когда некоторые значения адресных кодов не отражают физической реальности. Так, например, дешифратор, предназначенный для фиксации двоичных кодов десятичного разряда (в нем могут быть цифры 0, 1, 2 ... 9), должен иметь четыре входа (910 отображается как 10012). Однако комбинации, большие 10012 отображают не цифру, а число, и поэтому (хотя и могут появляться на входах) не должны фиксироваться на выходах, число которых может не превышать десяти.

Основу структуры дешифратора могут составлять элементы И; выход каждого из них является выходом дешифратора. Если этот выход должен быть возбужден, то на входах элемента И должны собираться лог.1. При этом разряды входного кода, в которых присутствуют единицы, должны поступать на входы элемента И непосредственно, а нулевые разряды должны инвертироваться.

Дешифраторы применяют для расшифровки адресов ячеек запоминающих устройств, высвечивания букв и цифр на мониторах, индикаторах и других устройствах. Чаще всего они являются встроенными в БИС, как, например, в полупроводниковых запоминающих устройствах, однако они выпускаются и в виде ИС среднего уровня интеграции.

Проиллюстрируем реализацию дешифраторов на примере полного дешифратора трехразрядных чисел. Таблица истинности дешифратора представлена в табл.3.1.

Таблица 3.1

Таблица истинности дешифратора

Номера наборов   Входы Выходы
x1 x2 x3 y0 y1 y2 y3 y4 y5 y6 y7

 

Как видно, каждый выход у, равен единице только на одном наборе, поэтому работа дешифратора описывается восемью функциями — по числу выходов дешифратора, каждая из которых является конъюнкцией (логическим И) трех аргументов:

Схема трехразрядного полного дешифратора показана на рис.3.3. Для реализации одной функции у, нужен один трехвходовой конъюнктор. Так как на входах конъюнкторов присутствуют как прямые значения аргументов, так и инверсные, в схеме дешифратора необходимы три инвертора (рис.3.3,а).

Часто дешифраторы выполняют с управляемой синхронизацией, при которой дешифрация кода будет произведена во время подачи синхронизирующего импульса, поступившего на вход С, лишь при условии, что на вход EN подан разрешающий единичный сигнал (рис.3.3,б). Для реализации такого условия необходимы конъюнкторы с четырьмя входами, на четвертый вход которых поступает сигнал разрешения. Этот сигнал формируется двухвходовым конъюнктором при совпадении сигналов С и EN.

Рис.3.3. Линейный дешифратор на 3 кода: а) логическая схема; б) условное обозначение дешифратора с входами синхронизации и разрешения

 

Число контактов у стандартного корпуса несложной ИС ограничено (14,16 или 24), поэтому дешифраторы, выпускаемые в виде ИС, имеют небольшую разрядность входного кода (три, реже четыре). Так, например, в 16-контактном корпусе может быть помещен лишь трехразрядный полный дешифратор. Если требуется создать дешифратор большей разрядности, используют каскадное соединение дешифраторов небольшой разрядности.

Дешифраторы широко применяются в системах управления технологическими процессами. Многие исполнительные устройства, такие как электродвигатель, исполнительный механизм на основе электромагнита, могут управляться всего двумя командами: «включить» и «выключить». При этом команде «включить» удобно сопоставить логическую «1», а команде «выключить» — логический «0». Для управления такими устройствами используют унитарные коды, в которых каждый разряд жестко связан с конкретным устройством. Количество управляемых устройств может составлять несколько десятков, и дешифратор должен иметь соответствующее число выходов.

На рис.3.4 показана схема управления восемью исполнительными устройствами на основе дешифратора. Схема содержит восемь аналогичных цепей, обеспечивающих включение/отключение исполнительного устройства. Состояние исполнительного устройства фиксируется элементом памяти, в качестве которого чаще всего используется триггер. Верхний вход обеспечивает включение элемента, а нижний — выключение. Сигнал, определяющий включенное или выключенное состояние, поступает на соответствующие схемы И (верхние или нижние) всех элементов памяти, но воспринимается этот сигнал только тем элементом, который выбирается дешифратором. Для этого на схему управления вместе с сигналами ВКЛ/ВЫКЛ одновременно подается код, поступающий на дешифратор и определяющий номер исполнительного устройства. Сигнал с выхода элемента памяти усиливается и поступает в цепь включения исполнительного устройства. Здесь возможна установка оптронной гальванической развязки, электромагнитного реле, обеспечивающего подачу высокого включающего напряжения, например 220 В, электромагнитного пускателя, подающего трехфазное напряжение на электродвигатель.

Рис.3.4. Схема управления исполнительными устройствами технологической системы на основе дешифратора

Шифраторы.

 

Шифратор (coder) — это комбинационное устройство, выполняющее функции, обратные дешифратору. При подаче сигнала на один из его входов (унитарный код) на выходе должен образоваться соответствующий двоичный код.

Если число входов шифратора равно 2n, то число выходов, очевидно, должно быть равным n, т.е. числу разрядов двоичного кода, которым можно закодировать 2n ситуаций. При построении шифратора для получения на выходе натурального двоичного кода учитывают, что единицу в младшем разряде такого кода имеют нечетные десятичные цифры 1,3,5,7,..., т. е. на выходе младшего разряда должна быть 1, если она есть на входе № 1 или на входе № 3 и т. д. Поэтому входы под указанными номерами через элемент ИЛИ соединяются с выходом младшего разряда. Единицу во втором разряде двоичного кода имеют десятичные цифры 2,3,6,7,...; входы с этими номерами через элемент ИЛИ должны подключаться к выходу шифратора, на котором устанавливается второй разряд кода. Аналогично, входы 4,5,6,7,... через элемент ИЛИ должны быть соединены с выходом, на котором устанавливается третий разряд, так как их коды имеют в этом разряде единицу, и т. д.

Проиллюстрируем синтез схемы шифратора при n=3. Таблица истинности имеет вид, приведенный в табл.3.2.

Таблица 3.2

Таблица истинности шифратора

Входы х   Выходы Входы х Выходы
у3 у2 yl у3 у2 yl

 

Работа шифратора описывается тремя функциями у3, у2, у1, каждая из которых равна единице на четырех наборах (номер набора соответствует номеру входа). СДНФ функций выхода равны:

yl=xl+x3+x5 +x7; у22+x3+x6+x7; у34+x5+x6+x7.

Три функции реализуются тремя дизъюнкторами (рис.3.5), на выходах которых формируется трехразрядный двоичный код. При этом аргумент x0 не входит ни в одну из логических функций и шина x0 остается незадействованной. Действительно, входному сигналу x0 должен соответствовать код «000», который все равно будет на выходе шифратора, если все остальные аргументы равны нулю.

Рис 3.5. Шифратор

Кроме обычных шифраторов существуют также приоритетные шифраторы. Такие шифраторы выполняют более сложную операцию. При работе ЭВМ и других устройств часто решается задача определения приоритетного претендента на обслуживание. Несколько конкурентов выставляют свои запросы на обслуживание, которые не могут быть удовлетворены одновременно. Нужно выбрать, кому предоставляется право первоочередного обслуживания. Простейший вариант задачи — присвоение каждому источнику запросов фиксированного приоритета. Например, группа из восьми запросов R7,…R0 (R - от англ. Request — приоритет) формируется так, что высший приоритет имеет источник номер семь, а далее приоритет уменьшается от номера к номеру. Самый младший приоритет у нулевого источника — он будет обслуживаться только при отсутствии всех других запросов. Если имеется одновременно несколько запросов, обслуживается запрос с наибольшим номером.

Приоритетный шифратор вырабатывает на выходе двоичный номер старшего запроса. При наличии всего одного возбужденного входа приоритетный шифратор работает так же, как и двоичный. Поэтому в сериях ИС двоичный шифратор как самостоятельный элемент может отсутствовать. Режим его работы — частный случай работы приоритетного шифратора.